奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 2005|回复: 0

奥鹏作业资料电子科大11春学期《EDA技术》在线作业一(免费)

[复制链接]
发表于 2011-5-30 20:31:00 | 显示全部楼层 |阅读模式
谋学网
电子科技大学
电子科大11春学期《EDA技术》在线作业一
单选
1.一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
资料:D
2.值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A. 1100
B. 1101
C. 1110
D. 1000
资料:B
3.国际上生产FPGA/CPLD的三家主流公司为
A. Altera、Xilinx、Lattice公司
B. Altera、Marax、Lattice公司
C. IBM、Xilinx、Lattice公司
D. Altera、Xilinx、AD公司
资料:A
4.一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
资料:B
5.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为____________。
A. 100011
B. 011100
C. 110011
D. 010011
资料:B
6.下列关于变量的说法正确的是
A. 变量是一个局部量,它只能在进程和子程序中使用。
B. 变量的赋值不是立即发生的。
C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D. 变量赋值的一般表达式为:目标变量名<= 表达式。
资料:A
7.下例程序执行后,X和Y的值分别为 。process(A,B,C) variable D : std_logic; begin D := A; X <= B+D; D := C; Y <= B+D; end process;
A. B+C和B+A
B. B+A和B+C
C. B+C和B+C
D. B+A和B+A
资料:B
8.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A. =
B. :=
C. <=
D. =>
资料:D
9.请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A. :=
B. <=
C. ==
D. =
资料:B
10.重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
资料:A
11.MAX+PLUS的图形文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
资料:C
12.Xilinx 公司开发的开发软件为
A. ISE
B. ispDesignEXPERT 系列
C. QuartusⅡ
D. MaxplusⅡ
资料:A
13.下列关于元件例化语句的说法正确的是
A. 位置关联方式与顺序有关,名称关联方式与顺序有关。
B. 位置关联方式与顺序有关,名称关联方式与顺序无关。
C. 位置关联方式与顺序无关,名称关联方式与顺序有关。
D. 位置关联方式与顺序无关,名称关联方式与顺序无关。
资料:B
14.顺序语句中的转向控制语句包括
A. if 语句、 case 语句、return 语句、Exit 语句
B. if 语句、 case 语句、Loop 语句、 Next 语句、 Exit 语句
C. if 语句、 case 语句、Loop 语句、return 语句
D. case 语句、Loop 语句、 Next 语句、null 语句
资料:B
15.FLEX10K 结构中的最小单元是
A. EAB
B. LAB
C. LE
D. CLB
资料:C
16.VHDL程序基本结构包括
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
资料:D
17.字符串型文字B“1110”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
资料:B
18.MAX+PLUS的文本文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
资料:B
19.下面哪个标识符是符合VHDL语法的合法标识符
A. 4plus
B. v-4
C. the_signal
D. buffer
资料:C
20.变量是一种局部量,变量可在以下哪些位置进行定义
A. process、architecture、entity
B. process、function、procedure
C. function、entity、package
D. entity、package、procedure
资料:B
奥鹏作业资料,奥鹏在线作业资料,奥鹏11春作业资料,奥鹏毕业论文网[url]www.92open.com[/url]
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-10-18 22:35 , Processed in 0.098952 second(s), 20 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表