奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 1897|回复: 0

奥鹏电子科大11春学期《EDA技术》在线作业二

[复制链接]
发表于 2011-5-30 20:34:59 | 显示全部楼层 |阅读模式
谋学网
一、单选(共 20 道试题,共 100 分。)V 1.  国际上生产FPGA/CPLD的三家主流公司为
A. Altera、Xilinx、Lattice公司
B. Altera、Marax、Lattice公司
C. IBM、Xilinx、Lattice公司
D. Altera、Xilinx、AD公司
      满分:5  分
2.  EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A. 512x8,1024x4,2048x2,4096x1
B. 256x8,512x4,1024x2,2048x1
C. 256x4,512x2,1024x1
D. 256x16,512x8,1024x4,2048x2
      满分:5  分
3.  下面哪个标识符是符合VHDL语法的合法标识符
A. constant
B. 2fft
C. _decoder_1
D. sig_n
      满分:5  分
4.  常用的硬件描述语言有
A. VHDL、Verilog、c语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
      满分:5  分
5.  下面哪个标识符是符合VHDL语法的合法标识符
A. 4plus
B. v-4
C. the_signal
D. buffer
      满分:5  分
6.  实体说明中包括端口说明,那么端口的模式可分为以下哪几种
A. in,out
B. in,out,inout
C. in,out,buffer
D. in,out,inout,buffer
      满分:5  分
7.  库(LIBRARY)包括哪几大类
A. IEEE 库、STD 库、面向ASIC的库、用户定义库
B. IEEE 库、STD 库、WORK库、用户定义库
C. IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库
D. STD 库、WORK库、面向ASIC的库、用户定义库
      满分:5  分
8.  顺序语句中的转向控制语句包括
A. if 语句、 case 语句、return 语句、Exit 语句
B. if 语句、 case 语句、Loop 语句、 Next 语句、 Exit 语句
C. if 语句、 case 语句、Loop 语句、return 语句
D. case 语句、Loop 语句、 Next 语句、null 语句
      满分:5  分
9.  下面哪种语句不是顺序语句
A. if语句
B. case语句
C. wait语句
D. component语句
      满分:5  分
10.  Altera公司开发的开发软件为
A. Foundation
B. ispDesignEXPERT
C. MaxplusⅡ
D. ISE
      满分:5  分
11.  VHDL常用的库是
A. IEEE
B. STD
C. WORK
D. PACKAGE
      满分:5  分
12.  下面哪个选项不是信号和变量的不同特性
A. 赋值方式不同
B. 定义位置不同
C. 赋值行为不同
D. 综合结果不同
      满分:5  分
13.  值为“1110”的标准逻辑矢量,进行ror运算后值为____________ 。
A. 1100
B. 1010
C. 0111
D. 0011
      满分:5  分
14.  若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a <=b ; c := a ; b <= c ; end process; end rtl ;
A. 1,2
B. 2,1
C. 1,1
D. 2, 2
      满分:5  分
15.  VHDL的描述风格有
A. 行为描述、数据流描述和结构描述
B. 行为描述、门级描述和数据流描述
C. 数据流描述、结构描述和版图描述
D. 门级描述、结构描述和版图描述
      满分:5  分
16.  MAX+PLUS的文本文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
      满分:5  分
17.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,那么A(2 downto 0)=____________。
A. 111
B. 110
C. 100
D. 101
      满分:5  分
18.  下例程序执行后,X和Y的值分别为 。process(A,B,C) variable D : std_logic; begin D := A; X <= B+D; D := C; Y <= B+D; end process;
A. B+C和B+A
B. B+A和B+C
C. B+C和B+C
D. B+A和B+A
      满分:5  分
19.  根据VHDL语法规则,下面哪个标识符是非法的标识符
A. not—Ack
B. constant
C. FFT_1024_1
D. state0
      满分:5  分
20.  不符合进程语句启动条件的是
A. if语句
B. wait语句
C. 敏感信号量
D. wait语句或敏感信号量
      满分:5  分

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-10-18 22:32 , Processed in 0.093503 second(s), 21 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表