奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 783|回复: 0

电子科技大学19年6月考试《EDA技术》期末大作业(100分)

[复制链接]
发表于 2019-5-25 11:56:38 | 显示全部楼层 |阅读模式
谋学网
试卷名称:17年12月考试《EDA技术》期末大作业-0001
1.VHDL中信号定义的位置是(  )。
A.实体中任何位置
B.实体中特定位置
C.结构体中任何位置
D.结构体中特定位置
资料:-

2.传统电路设计思想是______________。
A.自下而上
B.自外而里
C.自上而下
D.自里而外
资料:-

3.使用QuartusⅡ工具软件修改设计元件符号,应采用(    )方式。
A.图形编辑
B.文本编辑
C.符号编辑
D.波形编辑
资料:-

4.VHDL的描述风格有
A.行为描述、数据流描述和结构描述
B.行为描述、门级描述和数据流描述
C.数据流描述、结构描述和版图描述
D.门级描述、结构描述和版图描述
资料:-

5.值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A.1100
B.1101
C.1110
D.1000
资料:-

6.在VHDL中,结构体内部是由(    )语句组成的。
A.顺序
B.并行
C.顺序和并行
D.任何
资料:-

7.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,又称为(  )。
A.前仿真
B.预仿真
C.预编译
D.后仿真
资料:-

8.实体说明中包括端口说明,那么端口的模式可分为以下哪几种
A.in,out
B.in,out,inout
C.in,out,buffer
D.in,out,inout,buffer
资料:-

9.进程语句的启动条件是
A.wait语句或敏感信号量
B.wait语句
C.敏感信号量
D.wait语句或且敏感信号量
资料:-

10.下列标识符中,(  )是不合法的标识符。
A.State0
B.9moon
C.Not_Ack_0
D.signal
资料:-

11.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A.512x8,1024x4,2048x2,4096x1
B.256x8,512x4,1024x2,2048x1
C.256x4,512x2,1024x1
D.256x16,512x8,1024x4,2048x2
资料:-

12.VHDL常用的库是
A.IEEE
B.STD
C.WORK
D.PACKAGE
资料:-

13.变量是局部量,可以写在(  )。
A.实体中
B.进程中
C.线粒体
D.种子体中
资料:-

14.下面哪种语句不是并行语句
A.wait语句
B.process语句
C.块语句
D.生成语句
资料:-

15.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为____________。
A.100011
B.011100
C.110011
D.010011
资料:-

16.文本输入方式是指采用(  )进行电路设计的方式。
A.C
B.硬件描述语言
C.C++
D.JAVA
资料:-

17.变量是一种局部量,变量可在以下哪些位置进行定义
A.process、architecture、entity
B.process、function、procedure
C.function、entity、package
D.entity、package、procedure
资料:-

18.FLEX10K 结构中的最小单元是
A.EAB
B.LAB
C.LE
D.CLB
资料:-

19.在VHDL中,PROCESS本身是(  )语句。
A.顺序
B.顺序和并行
C.并行
D.任何
资料:-

20.Altera FLEX 10K 系列器件中的EAB大小为______________位。
A.256
B.512
C.1024
D.2048
资料:-

21.重载操作符的定义一般见于 IEEE 库的哪几个程序包
A.std_logic_arith、std_logic_unsigned、std_logic_signed
B.std_logic_arith、std_logic_unsigned、std_logic_1164
C.std_logic_unsigned、std_logic_1164、std_logic_arith
D.std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
资料:-

22.下列语句中,不属于并行语句的是(  )。
A.进程语句
B.CASE语句
C.元件例化语句
D.WHEN…ELSE…语句
资料:-

23.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A.=
B.:=
C.=
D.=
资料:-

24.在VHDL语言编写的程序中,注释使用(  )符号。
A.//
B.--
C.;
D.__
资料:-

25.在VHDL中,88_670_551.453_909属于(    )文字。
A.整数
B.以数制基数表示的
C.实数
D.物理量
资料:-

26.一个完整结构的结构体由哪两个基本层次组出
A.数据说明和进程
B.结构体说明和结构体功能描述
C.顺序描述语句和并行执行语句
D.结构体例化和结构体赋值
资料:-

27.在VHDL的端口声明语句中,用(    )声明端口为输入方向。
A.IN
B.OUT
C.INOUT
D.BUFFER
资料:-

28.Altera公司开发的开发软件为
A.Foundation
B.ispDesignEXPERT
C.MaxplusⅡ
D.ISE
资料:-

29.VHDL文本编辑中编译时出现如下的报错信息Error:   VHDL syntax error: signal declaration must have ‘;’,but found begin instead.  其错误原因是(  )。
A.信号声明缺少分号。
B.错将设计文件存入了根目录,并将其设定成工程。
C.设计文件的文件名与实体名不一致。
D.程序中缺少关键词。
资料:-

30.关于1987标准的VHDL语言中,标识符描述正确的是( )。
A.必须以英文字母开头
B.可以使用汉字开头
C.可以使用数字开头
D.任何字符都可以
资料:-

31.符合1987VHDL标准的标识符是
A.2A
B.A+2
C.A_2
D.22
资料:-

32.下列关于元件例化语句的说法正确的是
A.位置关联方式与顺序有关,名称关联方式与顺序有关。
B.位置关联方式与顺序有关,名称关联方式与顺序无关。
C.位置关联方式与顺序无关,名称关联方式与顺序有关。
D.位置关联方式与顺序无关,名称关联方式与顺序无关。
资料:-

33.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A.’00
B.10
C.‘01
D.11
资料:-

34.下列关于CASE语句的说法不正确的是
A.条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B.CASE语句中必须要有WHEN OTHERS=NULL;语句。
C.CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D.CASE语句执行必须选中,且只能选中所列条件语句中的一条。
资料:-

35.用EDA技术进行电子系统设计的目标是最终完成?(  )的设计与实现。
A.ASIC
B.FGPA
C.CPLG
D.ASIP
资料:-

36.EDA设计流程包括设计准备、(  )、设计处理和器件编程四个步骤。
A.总体设计
B.设计输入
C.详细设计
D.设计数据
资料:-

37.reg[7:0]?mema[255:0]正确的赋值是(  )。
A.mema[5]=3'd0
B.8'd0
C.1'b1
D.mema[5][3:0]=4'd1
资料:-

38.VHDL程序基本结构包括
A.实体、子程序、配置
B.实体、结构体、配置、函数
C.结构体、状态机、程序包和库
D.实体、结构体、程序包和库
资料:-

39.可以进行在系统编程的器件是(  )。
A.EPROM
B.PAL
C.GAL
D.FPGA
资料:-

40.下面哪一条命令是MAX+PLUSII在时序仿真时执行加载节点的命令?(  )。
A.file- set project to current file
B.assign-pin/location chip
C.node-enter node from SNF
D.file-create  default symbol
资料:-

41.若a=1,b=2,下面程序执行后,a和b的值分别为              。
architecture rtl of entityName is
signal a, b: integer;
begin
process (a, b)variable c: integer;
begin
a =b ;
c := a ;
b = c ;
end process;
end rtl ;
A.1,2
B.2,1
C.1,1
D.2, 2
资料:-

42.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(  )设计方法。
A.自顶向下
B.自底向上
C.协同
D.总体
资料:-

43.请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b  after  10ns;
A.:=
B.=
C.==
D.=
资料:-

44.下面哪个说法是错误的
A.进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B.进程语句是可以嵌套使用的
C.块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D.块语句是可以嵌套使用的
资料:-

45.QuartusⅡ的块/图形设计文件类型是(    )。
A..vwf
B..bdf
C..vhd
D..v
资料:-

46.在VHDL语言中,下列对时钟边沿检测描述中,错误的是
A.if  clk’event and clk  =  ‘1’  then
B.if  falling_edge(clk)   then
C.if  clk’event and clk  =  ‘0’  then
D.if  clk’stable and not clk  =  ‘1’  then
资料:-

1.VHDL的数字型文字包括(  )。
A.整数文字
B.逻辑文字
C.实数文字
D.字符串
资料:-

2.QuartusⅡ工具软件具有(    )等功能。(此为多项选择)
A.编辑
B.编译
C.编程
D.制作电路板
资料:-

3.EDA的设计输入主要包括(  )、图形输入方式和波形输入方式。(此题为多项选择)
A.图形输入方式
B.波形输入方式
C.键盘输入方式
D.文本输入方式
资料:-

4.VHDL的数据对象包括(  ),它们是用来存放各种类型数据的容器。
A.变量
B.端口
C.模块
D.常量
资料:-

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-9-29 00:54 , Processed in 0.102753 second(s), 19 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表