奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 588|回复: 0

[电子科技大学]19秋《EDA技术》在线作业3-(100分)

[复制链接]
发表于 2019-11-9 01:10:11 | 显示全部楼层 |阅读模式
谋学网
奥鹏】-[电子科技大学]19秋《EDA技术》在线作业3
试卷总分:100    得分:100
第1,下面哪种语句不是并行语句
A、wait语句
B、process语句
C、块语句
D、生成语句
正确资料:


第2题,VHDL程序基本结构包括
A、实体、子程序、配置
B、实体、结构体、配置、函数
C、结构体、状态机、程序包和库
D、实体、结构体、程序包和库
正确资料:


第3题,仿真是对电路设计的一种(  )检测方法。
A、直接的
B、间接的
C、同步的
D、异步的
正确资料:


第4题,常用的硬件描述语言有
A、VHDL、Verilog、c语言
B、ABEL、c++
C、VHDL、Verilog、ABEL
D、汇编语言、ABEL、VHDL
正确资料:


第5题,在VHDL中,用语句(    )表示检测clock的上升沿。
A、clock'EVENT
B、clock'EVENT AND clock='1'
C、Clok='0'
D、clock'EVENT AND clock='0'
正确资料:


第6题,VHDL常用的库是
A、IEEE
B、STD
C、WORK
D、PACKAGE
正确资料:


第7题,一般把EDA技术的发展分为CAD、CAE和( )三个阶段。
A、CAM
B、EDA
C、CASE
D、CAN
正确资料:


第8题,根据VHDL语法规则,下面哪个标识符是非法的标识符
A、not—Ack
B、constant
C、FFT_1024_1
D、state0
正确资料:


第9题,VHDL常用的库是(  )标准库。
A、IEEE
B、STD
C、WORK
D、PACKAGE
正确资料:


第10题,下列关于变量的说法正确的是
A、变量是一个局部量,它只能在进程和子程序中使用。
B、变量的赋值不是立即发生的。
C、在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D、变量赋值的一般表达式为:目标变量名= 表达式。
正确资料:


第11题,Altera公司开发的开发软件为
A、Foundation
B、ispDesignEXPERT
C、MaxplusⅡ
D、ISE
正确资料:


第12题,在VHDL语言编写的程序中,注释使用(  )符号。
A、//
B、--
C、;
D、__
正确资料:


第13题,VHDL文本编辑中编译时出现如下的报错信息Error:   VHDL syntax error: signal declaration must have ‘;’,but found begin instead.  其错误原因是(  )。
A、信号声明缺少分号。
B、错将设计文件存入了根目录,并将其设定成工程。
C、设计文件的文件名与实体名不一致。
D、程序中缺少关键词。
正确资料:


第14题,在VHDL中,可以用(    )表示数据或地址总线的名称。
A、下标名
B、段名
C、总线名
D、字符串
正确资料:


第15题,VHDL的语言要素包括以下几类
A、数据对象、数据类型、操作数、操作符
B、数据对象、结构体、操作数、操作符
C、实体、数据类型、操作数、操作符
D、数据对象、配置、操作数、重载操作符
正确资料:


第16题,一个完整结构的结构体由哪两个基本层次组出
A、数据说明和进程
B、结构体说明和结构体功能描述
C、顺序描述语句和并行执行语句
D、结构体例化和结构体赋值
正确资料:


第17题,一个完整的VHDL程序,至少应包括三个基本组成部分是
A、实体、子程序、配置
B、实体、结构体、配置、函数
C、结构体、状态机、程序包和库
D、实体、结构体、程序包和库
正确资料:


第18题,下列关于信号的说法正确的是
A、信号是一个局部量,它只能在进程和子程序中使用。
B、信号的赋值不是立即发生的。
C、在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D、信号赋值的一般表达式为:目标信号名:= 表达式。
正确资料:


第19题,请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于(  )。
A、ROM
B、CPLD
C、FPGA
D、GAL
正确资料:


第20题,EDA设计流程包括(  )、设计输入、设计处理和器件编程四个步骤。
A、设计准备
B、总体设计
C、详细设计
D、设计数据
正确资料:

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-6-24 20:54 , Processed in 0.096862 second(s), 19 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表